epm7128s-clock問題求助(VHDL) |
答題得分者是:addn
|
canoe1114
一般會員 發表:1 回覆:4 積分:1 註冊:2007-07-04 發送簡訊給我 |
使用軟/硬體:
軟體:MAX plus II 10.2 BASELINE (2) 硬體:Altera UP1教育板(7128s) 程式描述: VHDL的entity 宣告兩個clock 而7128的global clock接腳為:pin83 另外一支為 pin2(Ded.input) 所以我的兩個clock分別接在這兩支接腳上面 問題描述: pin2接腳工作正常 pin83只要輸入訊號,視波器上電壓就被降壓,無法運作 我的兩光除錯法: 1:pin83串接電阻..解決壓降問題..但還是無法正常運作 2:如果只變成宣告一個clock,則:接在pin2可正常運作 接在pin83還是無法運作 --->所以 1.→pin83有問題← 2.→我有問題← 結論: 救救我~ 麻煩前輩撥點時間指導一下 , 麻煩您們了 今天剛註冊的vhdl初學者 |
㊣
版主 發表:261 回覆:2302 積分:1667 註冊:2005-01-04 發送簡訊給我 |
1>請問你為何要用 2 個 clock, 這個是指信號 or clock source? 頻率是否固定?(若是, 分別為多少?)
2>如果你有設定正確, pin83 又只是輸入信號, 100% 是你的程式有問題. 3>可能我比較笨, 我還是看不懂你的問題在那? 程式在寫什麼功能. 請具體說明, 可以的話再附上程式碼, 大家會比較清楚你的問題. ===================引 用 canoe1114 文 章=================== 使用軟/硬體:
軟體:MAX plus II 10.2 BASELINE (2) 硬體:Altera UP1教育板(7128s) 程式描述: VHDL的entity 宣告兩個clock 而7128的global clock接腳為:pin83 另外一支為 pin2(Ded.input) 所以我的兩個clock分別接在這兩支接腳上面 問題描述: pin2接腳工作正常 pin83只要輸入訊號,視波器上電壓就被降壓,無法運作 我的兩光除錯法: 1:pin83串接電阻..解決壓降問題..但還是無法正常運作 2:如果只變成宣告一個clock,則:接在pin2可正常運作 ? ?接在pin83還是無法運作 ? ?--->所以??1.→pin83有問題←??2.→我有問題← 結論: 救救我~? ?? ?? ?? ?? ?? ?? ?? ?? ?? ?? ? 麻煩前輩撥點時間指導一下 , 麻煩您們了 ? ?? ?? ?? ?? ?? ?? ?? ?? ?? ?? ?? ?? ?? ?? ?? ?? ?? ?? ?? ?? ?? ?? ?? ?? ?? ?今天剛註冊的vhdl初學者
------
------------------------------------------------------------------------- 走是為了到另一境界,停是為了欣賞人生;未走過千山萬水,怎知生命的虛實與輕重!? |
canoe1114
一般會員 發表:1 回覆:4 積分:1 註冊:2007-07-04 發送簡訊給我 |
===================引 用 ㊣ 文 章=================== 1>請問你為何要用 2 個 clock, 這個是指信號 or clock source? 頻率是否固定?(若是, 分別為多少?) 2>如果你有設定正確, pin83 又只是輸入信號, 100% 是你的程式有問題. 3>可能我比較笨, 我還是看不懂你的問題在那? 程式在寫什麼功能. 請具體說明, 可以的話再附上程式碼, 大家會比較清楚你的問題. ===================引 用 canoe1114 文 章=================== 使用軟/硬體:
軟體:MAX plus II 10.2 BASELINE (2) 硬體:Altera UP1教育板(7128s) 程式描述: VHDL的entity 宣告兩個clock 而7128的global clock接腳為:pin83 另外一支為 pin2(Ded.input) 所以我的兩個clock分別接在這兩支接腳上面 問題描述: pin2接腳工作正常 pin83只要輸入訊號,視波器上電壓就被降壓,無法運作 我的兩光除錯法: 1:pin83串接電阻..解決壓降問題..但還是無法正常運作 2:如果只變成宣告一個clock,則:接在pin2可正常運作 ? ?接在pin83還是無法運作 ? ?--->所以??1.→pin83有問題←??2.→我有問題← 結論: 救救我~? ?? ?? ?? ?? ?? ?? ?? ?? ?? ?? ? 麻煩前輩撥點時間指導一下 , 麻煩您們了 ? ?? ?? ?? ?? ?? ?? ?? ?? ?? ?? ?? ?? ?? ?? ?? ?? ?? ?? ?? ?? ?? ?? ?? ?? ?? ?今天剛註冊的vhdl初學者 回覆版主的問題 1.我不曉得啥嚜clock clock source?就是要外部輸入兩個clock訊號 頻率沒有固定..因為要兩個clock就是要clock各自獨立..而且兩個clock頻率隨時都會變動 控制兩顆步進馬達的? 2.第二各問題..我不曉得還要設定什麼耶 為了表示我的清白..快點幫我漂白吧@@ 3.程式如下: library ieee; use ieee.std_logic_1164.all; entity motor2 is port( clkx:in std_logic; clky:in std_logic; dirx:in std_logic; diry:in std_logic; enx:in std_logic; eny:in std_logic; phasex:out std_logic_vector(3 downto 0); phasey:out std_logic_vector(3 downto 0) ); end motor2; architecture aa of motor2 is begin process(clkx) variable countx:integer range 0 to 7; begin if clkx'event and clkx='1' then if enx='1' then if dirx='1' then countx:=countx 1; else countx:=countx-1; end if; end if; end if; case countx is when 0 => phasex <= "1001"; when 1 => phasex <= "1000"; when 2 => phasex <= "1100"; when 3 => phasex <= "0100"; when 4 => phasex <= "0110"; when 5 => phasex <= "0010"; when 6 => phasex <= "0011"; when 7 => phasex <= "0001"; end case; end process; process(clky) variable county:integer range 0 to 7; begin if clky'event and clky='1' then if eny='1' then if diry='1' then county:=county 1; else county:=county-1; end if; end if; end if; case county is when 0 => phasey <= "1001"; when 1 => phasey <= "1000"; when 2 => phasey <= "1100"; when 3 => phasey <= "0100"; when 4 => phasey <= "0110"; when 5 => phasey <= "0010"; when 6 => phasey <= "0011"; when 7 => phasey <= "0001"; end case; end process; end aa; ---主要input:clock enable 和dircetion(方向) 另外還想請教一個問題.... 就是關於if的敘述 尤其是巢狀回圈 看書(網路)上寫的教學和他們寫出來的例子...用法都不太依樣 這各if稿的我誤煞煞...可以教我嗎 如果覺得太淺可以給我資料的教學網址嗎 麻煩你們不要發火..因為沒有程式的底子..麻煩您們了 謝謝~ |
addn
高階會員 發表:64 回覆:221 積分:202 註冊:2005-03-21 發送簡訊給我 |
|
canoe1114
一般會員 發表:1 回覆:4 積分:1 註冊:2007-07-04 發送簡訊給我 |
|
㊣
版主 發表:261 回覆:2302 積分:1667 註冊:2005-01-04 發送簡訊給我 |
I/O 使用那一 pin 是自己定義設定的, 用別的腳位應該就可以了.
===================引 用 canoe1114 文 章=================== 那請問有改善方式嗎 納就代表實驗版上只能有一個clock的輸入嗎? 但如果把7128拔出來獨立使用.那輸入兩個clock就可以囉 請問這塊實驗版可以到哪找資料...最近可能會用到 想要多了解 謝謝addn大哥
------
------------------------------------------------------------------------- 走是為了到另一境界,停是為了欣賞人生;未走過千山萬水,怎知生命的虛實與輕重!? |
canoe1114
一般會員 發表:1 回覆:4 積分:1 註冊:2007-07-04 發送簡訊給我 |
|
addn
高階會員 發表:64 回覆:221 積分:202 註冊:2005-03-21 發送簡訊給我 |
|
canoe1114
一般會員 發表:1 回覆:4 積分:1 註冊:2007-07-04 發送簡訊給我 |
--今日進度檢討--
感謝版主及addn前輩的指導 A.接腳問題:若改換pin83以外的接腳..結果:可以指定..但只要一編輯或模擬就會出現錯誤...只能接在pin83 B.關於pin83已有和震盪器連接..這部分我不到可以用什麼方法來改善...所以改用7032單顆 7032來做實驗 問題:7032clock接腳為pin2和pin43...這兩支接腳是否有像7128已有用來做其他用途 回覆addn前輩:我在網路上找到很多up1教育版的資料..但是找不到有關clock這問題的資料 報告: 由於7128陷入窘境..所以改換7032作實驗.. 硬體: 7032*1 LED*8 電阻*8 麵包版*1 今天廣華買的44pin轉接版(可供7032燒入及拉出來的PIN角) 軟體:程式同上,模擬沒有問題 結果: 第一次:在LED產生的訊號不是我要的訊號..但是卻是規則的訊號(LDE有"規則"的亮暗) 方法:重新燒入→ 無效果(同樣結果) 第二次:麵包板上所有元件重新插過 結果→得到我想要的結果(我不認為第一次有插錯..兩次都檢查好幾遍) 問題:頻率低時模擬正常,高時會有錯誤(但仍是規則的訊號) 其中只要重新接電源或是改變ENABLE 或是DIR的訊號(0或1)...又會出現錯誤 但這些錯誤再把兩各接地pIN腳分開後.狀況又會解除(即我要的結果) 是不是有可能麵包版不穩定..有前輩說過....他要模擬時都會喜電路板,麵包版常會出現不必要的錯誤 但現在我沒這能力..請問各為前輩...上述麵包版引起的可能大嗎..還是我該朝什麼方向去做改進 謝謝~ 想成為高手的新手 |
addn
高階會員 發表:64 回覆:221 積分:202 註冊:2005-03-21 發送簡訊給我 |
本站聲明 |
1. 本論壇為無營利行為之開放平台,所有文章都是由網友自行張貼,如牽涉到法律糾紛一切與本站無關。 2. 假如網友發表之內容涉及侵權,而損及您的利益,請立即通知版主刪除。 3. 請勿批評中華民國元首及政府或批評各政黨,是藍是綠本站無權干涉,但這裡不是政治性論壇! |